python (65.1k questions)
javascript (44.2k questions)
reactjs (22.7k questions)
java (20.8k questions)
c# (17.4k questions)
html (16.3k questions)
r (13.7k questions)
android (12.9k questions)
Gate-level timing checks in SVA
I need to check the value of a signal after a certain amount of time a clock edge occurs. For example, I want to check that if signal b asserts to high 1ps after posedge clock occurs.
Does SVA provide...
yildizabdullah
Votes: 0
Answers: 1
Scoreboard in UVM
What do we do when we have to create a scoreboard for a certain design logic? For a memory I understand that we can compare the data written to DUT at a certain address to the data read at the same ad...
Bunty Bhai
Votes: 0
Answers: 2
How to sample covergroup at the occurence of a certain sequence?
module testbench;
bit [2:0] A;
bit [2:0] data[];
int i;
bit [2:0] b;
covergroup cov_grp;
c1 : coverpoint A {
bins b1 = {0,1,2};
bins b2 = {3,4,5};
bins b3 = {6,7}...
abbasalit987
Votes: 0
Answers: 1
How to check in SystemVerilog that signal went high during simulation using ModelSim
I need to check, whether during the testbenching the particular signal went at least for a single clock cycle to logic 1.
I've tested following asserts, which shall be equivalent:
initial assert p...
David Belohrad
Votes: 0
Answers: 1